slackbuilds/development/GHDL
William PC c3fbc651b2
development/GHDL: Added (open-source simulator for the VHDL lang)
Signed-off-by: Willy Sudiarto Raharjo <willysr@slackbuilds.org>
2022-10-08 09:12:44 +07:00
..
GHDL.SlackBuild development/GHDL: Added (open-source simulator for the VHDL lang) 2022-10-08 09:12:44 +07:00
GHDL.info development/GHDL: Added (open-source simulator for the VHDL lang) 2022-10-08 09:12:44 +07:00
README development/GHDL: Added (open-source simulator for the VHDL lang) 2022-10-08 09:12:44 +07:00
slack-desc development/GHDL: Added (open-source simulator for the VHDL lang) 2022-10-08 09:12:44 +07:00

README

  GHDL is an open-source simulator for the VHDL language. GHDL allows 
you to compile and execute your VHDL code directly in your PC.

  GHDL fully supports the 1987, 1993, 2002 versions of the IEEE 1076 
VHDL standard, and partially the latest 2008 revision (well enough to
support fixed_generic_pkg or float_generic_pkg).

  By using a code generator (llvm, GCC or a builtin one), GHDL is much
faster than any interpreted simulator. GHDL runs on Linux, Windows and
Apple OS X. You can freely download a binary distribution for your OS
or try to compile GHDL on your own machine.